HOT TOPIX

 
SIEMENS
s2c
 

2013年のEDA業界を振り返る、今年の話題7選

今年も残すところあと僅かとなりました。年末ギリギリのポストとなりましたが、今年の締め括りとして、当サイトで報じたニュースを中心にEDA業界、日本の半導体業界のこの1年を振り返りたいと思います。


■拡大し続けるEDA市場

EDAの業界団体EDACがこの1年間に公表した世界EDA売上統計データによると、2012年Q3(7-9月)から2013年Q2(4-6月)まで全ての四半期で売上前年比増を達成し、平均約5.3%の成長率を示した。ちなみにこれまで約3年半、14四半期連続で世界EDA売上は前年比増を達成している。

EDAC2013Q2-1.jpg

2012年の世界EDA売上は前年比約6.6%増の65億2890万ドルで、過去最高を記録したが、2013年はそれを更に上回り前年比6%増近くの成長を遂げ、売上は70億ドル近くまで伸びる見込みである。

EDAC2013F.jpg

このEDA市場の成長の原動力となっているのは、中国・韓国・台湾を中心とするアジア市場で、2012年Q3から2013年Q2の1年間の売上合計でみると同市場の成長率は約14.3%、売上にして2億ドル以上増やした。またEDAの最大市場である北米市場も活況で、売上にして1億5000万ドル以上増やし成長率5.6%を記録した。売上としては7000億ドル程度の増加だが欧州市場の成長率は北米を上回る約6.2%だった。つまり日本以外の全ての市場は活況で、ずば抜けて伸びたのが昨年同様アジア市場だった。

2013Final-01.jpg

製品分野でみると最も成長率が高かったのはPCB/MCM分野で約10%の成長、売上にして5700万ドル伸びた。次いで成長率が高かったのがIP分野で8.3%の成長、売上は1億4000万ドル近く伸ばした。売上を最も伸ばしたのはシェア最大のCAE分野で、IP分野を上回る売上増を達成した。唯一IC Physical Design & Verification分野だけは昨年とほぼ同一の売上だった。成長率、売上増の両面で目立ったのは昨年同様やはりIP分野だった。来年以降もアジア市場とIP分野の成長がEDA市場全体を押し上げる傾向が続くものと思われる。

2013Final-02.jpg


■Cadenceの反撃開始

2008年に売上における業界首位の座をSynopsysに明け渡したCadenceだが、2009年以降は着実に業績を回復させてきており、今年は競合他社への反撃の狼煙とも言える新製品の投入やIPベンダを中心とした企業買収が相次いだ。今年のCadenceの主だった動きを紹介しよう。

まず主要な新製品としては、 1月に同社の牙城であるカスタム/アナログ設計分野の新製品「Virtuoso Advanced Node」を発表。同製品は20nm以降の先端プロセスに特化したカスタム/アナログ設計環境で、TSMC、GlobalFoundries、Samsungの主要ファンドリ各社が20nm以降の先端プロセスでのサポートを表明した。
※関連ニュース:
Cadenceが20nm以降の先端プロセスに特化した「Virtuoso Advanced Node」を発表
GLOBALFOUNDRIESとSamsungが20/14nmプロセスでCadenceの「Virtuoso Advanced Node」をサポート
TSMCがアナログ/カスタム設計でのCadenceとの協業を拡張-SKILLベース16nmPDKを用意

そして5月にはスタティック・タイミング解析ツールの新製品「Tempus」をリリース。これは業界のデファクトであるSynopsysの「PrimeTime」のリプレースを狙ったツールでCadenceが一から開発。競合製品よりも10倍高速なSTA処理を謳い文句に満を持して投入された。
※関連ニュース:
Cadenceが競合製品のリプレースを狙うSTAの新製品「Tempus」を発表

また9月には新型エミュレータ「Palladium XP II」をリリース。これはシェアを伸ばしているMentorのエミュレータ「Veloce」に対抗する新製品で、カタログ・スペックはデザインのキャパシティ、パフォーマンス共に競合の「Veloce」を凌ぐものとなっている。
※関連ニュース:
Cadenceが新型エミュレータ「Palladium XP II」を発表?検証速度は最大1.5倍、キャパシティは最大23億ゲート

更に10月には新型のFastSPICE「Spectre XPS」をリリース。これはBerkeley Design AutomationやSynopsys(Magma FineSim)といった既存の競合製品に対抗するもので、そのシミュレーション速度は競合製品の10倍と謳われている。ちなみにCadenceは、4月に著作権侵害でBerkeley Design Automationを提訴。その内容はCadenceの「Analog FastSPICE」へのインタフェース開発に関するBDAの契約違反だった。
※関連ニュース:
Cadenceが競合製品よりも10倍高速なFastSPICE「Spectre XPS」をリリース
CadenceがBerkeley Design Automationを著作権の侵害で提訴

そして更に11月には電力解析ツールの新製品「Voltus IC Power Integrity Solution」をリリース。これは市場のデファクト・ツールであるAnsys/Apacheの「Redhawk」に対抗する製品で、競合よりも10倍高速かつ大規模なデザインにも対応可能とされている。
※関連ニュース:
Cadenceが新たな電力解析ツール「Voltus」を発表、競合よりも10倍高速で大規模容量

このようにCadenceは、この1年間で多数のEDAツールを集中的にリリース。競合への対抗姿勢を露わにする新製品の市場投入は非常にインパクトがあったが、同じように目立ったのはIP製品ポートフォリオの拡張を狙った企業買収である。

まず1月にMIPI/USB PHY IPを手掛けるインドのCosmic Circuits社の買収を発表。既に同社の取得を完了し実績の高いPHY IPを手中に収めている。
※関連ニュース:
CadenceがインドのIPベンダCosmic Circuitsを買収へ、MIPI/USB PHY IPを獲得

そして3月にはリコンフィギュラブル・プロセッサで名を馳せたIPベンダTensilicaの買収を発表して業界を驚かせた。既に完了したTensilicaの買収額は3億8000万ドルで、これによりCadenceは2013年IP売上ランキングで2012年の16位から一気に5位に浮上する予定である。ちなみに、首位はARM、2位Synopsys、3位Imagination Technology、4位CEVA。
※関連ニュース:
CadenceがTensilicaを3億8000万ドルで買収へ

更に5月にはUSBコントローラやNANDフラッシュ・コントローラで実績のあるポーランドEvatronix社のIP事業部を買収した。
※関連ニュース:
CadenceがEvatronix社のIP事業部を買収しUSB,MIPIなど各種コントローラIPを獲得

以上、今年前半に集中したIPベンダの買収は計3件で、これによりCadenceは自社のIP製品ポートフォリオを大きく拡大した。

尚、Cadenceは今年もARM,TSMCとの強い協業体制を継続しており、3月にはTSMC 16nm FinFETプロセスを用いた自社ツールによるARM Cortex-A57のテープアウトを早々にアナウンス。ARMやTSMCに限らず、顧客を囲い込むためのパートナーとの協力体制のアピールにも余念が無かった。
※関連ニュース:
ARMとTSMCがTSMC 16nm FinFETプロセスでARM Cortex-A57をテープアウト

その他政治的な動きとしては、Samsung Electronicsの社長兼CSOのYoung K. Sohn氏がCadenceの取締役に就任。CadenceのCEO LIP-BU TAN氏の率いる米ベンチャー・キャピタルがインドの半導体ベンチャーに投資。といった話題もあった。
※関連ニュース:
Samsung Electronicsの社長がCadenceの取締役に就任


■頑張る中堅EDAベンダ

EDA市場は大手の寡占化が進んでいるが、そんな中でも独自のソリューションで顧客の支持を集める中堅EDAベンダは少なくない。この1年では昨年同様に論理検証ソリューションのEDAベンダの動きが目立った。それらを中心に主だった中堅EDAベンダの動きを幾つか紹介したい。

・Aldec
論理シミュレータを中心に検証ソリューションを展開する同社は、新製品、製品の新機能、製品のユーザー導入事例など、豊富な話題を提供してくれた。変ったところでは、同社がNECの高位合成ツール「CyberWorkBench」北米での販売代理店になったというニュースもあった。
※関連ニュース:
Aldecがオンライン・トレーニング・ポータルを立ち上げ無償UVMトレーニングを提供開始
Aldecが新たなビジュアル・デバッギング・ツール「プロットウィンドウ」をリリース
AldecがASIC/FPGA設計における設計要求を管理・追跡するツール「Spec-TRACER」を発表
検証ソリューションのAldecがNECの高位合成ツール「CyberWorkBench」の販売代理店に
Aldecがシミュレータ「Riviera-Pro」に新デバッグ機能、X値伝搬をグラフィカルに分析
沖情報システムズがXilinx認証IP製品の開発でAldecの検証ソリューションを採用

・Real Intent、OneSpin、Jasper
フォーマル技術ベースの3社は昨年に引き続き業績好調のようで、それを表す幾つかのニュースがあった。Real Intentは11月にNECが採用。同社はLintツールやCDC検証ツールも好調なようで、2013会計年度は売上前年比60%増。売上の35%は新規顧客からという話である。
※関連ニュース:
NECが通信システム用LSIの開発でReal Intentのフォーマル検証ツールを標準採用

当サイトでは紹介していないが、OneSpin Solutionsからはクラウド関連のニュースが2つ。まず同社のソリューションとして1時間25ドルから使用できる、Amazon Web Services (AWS) ベースのクラウド・フォーマル検証ソリューションを発表。さらにFPGA設計プラットフォームを提供する米Plunify社のソリューション「EDAxtend」でも同社のフォーマル・検証ツールが利用可能となった。また、OneSpinは同社の手掛ける機能等価性検証ツール「OneSpin 360 EC」の技術をRTLフィジカル合成ツールのOasys Design Systemsにライセンス供給した事も発表している。ちなみに同社は今年1月に2012年売上が前年比2ケタ増だったと発表している。
※関連ニュース:
OneSpin SolutionsがOasys Design Systemsに等価性検証ツールの技術をOEM供給
フォーマル検証のOneSpin Solutionsが2012年売上2ケタ増達成、2013年に新製品リリース

Jasperは今年、優れた技術と業績を持つ企業に送られる「2013 Red Herring Top 100 North America」を受賞した。同社の2007年以降の平均成長率は35%で現在は半導体上位15社のうち10社が顧客となっている。また今年5月にはローパワー設計向けの新製品「Low Power Apps」をリリースしている。同社の社長でEDAConsortiumのチェアマンであるKathryn Kranen氏は、今年UBMのACE Awardで「Lifetime Achievement Award」を受賞した。
※関連ニュース:
フォーマル検証のJasper今年のイチ押しは「Low Power Apps」ローパワー設計を網羅検証

・Forte 
SystemCからの高位合成ツール「Cynthesizer」を手掛けるForte Design Automationは、今年1月に2012年の売上が前年比22%増で7年連続で売上増を達成したと発表。話によると2013年も好調でEDA需要の減少している国内市場においても売上目標を達成したとの事。4月には韓国LGによる採用、12月には京セラによる「Cynthesizer」の採用が発表された。同社の高位合成ツールは、国内に複数のヘビー・ユーザーがいる他、海外ファブレス大手などでもかなり積極的に利用されているという。
※関連ニュース:
高位合成ツールのForte、2012年売上は前年比22%増で7年連続売上増を達成
LGがデジタルテレビ向けSoCの開発部門でForteの高位合成ツール「Cynthesizer」を採用
京セラが複合機の画像処理回路設計でForteの高位合成ツール「Cynthesizer」を導入

・Oasys
RTLフィジカル合成ツールを手掛けるOasys Design Systemsは、今年初めに2012年の実績として受注倍増を公表していたが、この12月にコア製品「Real Time」シリーズ製品の資産がMentor Graphicsに買収された。同社はこの1年を通じて、TSMC Soft-IP Alliance Programへの加盟や主力製品のRTLフィジカル合成ツール「RealTime Designer」のエンジン強化、複数プロセッサによる分割処理に対応した等価性検証ツール「Oasys RealTime Parallel EC」の発表などマーケティングに力を注いでいた。同社は複数の半導体大手を顧客に持ち、XilinxのVivadoにおいてもその技術が利用されているという話で中堅EDAベンダとして一定の成功を収めていた。Mentorによる買収は資産の一部という事で会社の存続は維持されるようだ。
※関連ニュース:
Oasys Design SystemsがTSMC Soft-IP Alliance Programに加盟
Oasys Design Systemsが合成エンジンに「レジスタ・リタイミング」機能を追加
Oasys Design Systemsが複数CPUでの分割処理に対応した等価性検証ツールを発表
MentorがRTLフィジカル合成ツールのOasys Design Systemsを買収


■ARMを取り巻く最新事情

ARMの快進撃は今年も続いた。いや、恐らくこの先しばらくはIP市場、CPUコア市場における同社の快進撃は続く見通しで、同社の供給するARMプロセッサのライセンス数は今年遂に1000件を超え、昨年80億個を超えたARM搭載チップの出荷数は今年100億個を超える予定となっている。

売上で見るとARMは2010年Q1から15四半期連続で売上前年増を達しており、現時点で2013年1月-9月の売上累計が8億1490万ドル、このままいくと同社の2013年の売上は前年比約20%増の11億ドルに達する見込みである。

ARM2013Q3-01.jpg

ローパワーを武器にモバイル市場で圧倒的なシェアを獲得したARMは、IoT(Internet of Things)、自動車、社会インフラなどを次なる大きな市場と見ており、そのための新製品戦略を着々と進めているが、当面はモバイル向けの既存コアの売上が強く、来年はARM Cortex-A9の後継、Cortex-A12ベースのアプリケーション・プロセッサが急速に伸びる見通しとなっている。

既にARM搭載最先端チップの設計がEDA技術を牽引していると言っても過言では無く、EDAベンダ各社にとってARMは切っても切れない存在となっているため、今年もARM関連の業界ニュースは満載だった。主だったところを以下に紹介する。

MentorがARM Cortex-A9 MPCore向けのエミュレーション・ソリューションを発表
ESLツールのCarbon Design Systemsが6年連続で売上増、2012年は新規顧客14件獲得
SynopsysがARMv8 Fast Modelを用いたVirtualizer Development Kitを4月から提供開始
ARMとSTマイクロが組んでMathworksのEmbedded Coderによるコード生成をサポート
ARMの「big.Little技術」、今年新たに富士通ほか5社がモバイル・チップで採用
ARMとSynopsysが共同で20nmプロセスでMali GPUをテープアウト
ARMとSynopsysがTSMC 28HPM向けに最適化したARM Cortex-A15/A7ベース・デザインのリファレンスを提供
ARMとTSMCがTSMC 16nm FinFETプロセスでARM Cortex-A57をテープアウト
ARMとCadenceがTSMC 16nm FinFETプロセスを用いたARM Cortex-A57の設計で協業
ARMがTSMC 28nmHPM/16nm FinFETプロセスのCortex-A57/A53フィジカルIPをリリース
ImperasがARM Cortex-A7 MPCoreの仮想プロセッサ・モデルをリリース
Arteris、インターコネクト・オプションの提供を目的にARMと複数年パートナー契約
STマイクロ,ARM,Cadenceの3社がバーチャル・プロトタイプ向けに3種類の標準インタフェースを開発
SynopsysがARMの先端プロセッサ向けAMBA 5 CHIプロトコルの検証IPをリリース
CadenceがARM搭載SoCのインターコネクトの性能解析をサイクル精度で行うツール環境を発表

尚、最先端ARM搭載チップのインターコネクトとしてデファクトとなりつつあった、Arterisの技術資産がQualcommに買収されるというニュースが今年10月にあった。Arterisは今後も同社のインターコネクトIPの販売と開発を続けるとしているが、Arterisの技術をQualcommが買収した背後には、独占したい何かがあったと考える方が自然である。
※関連ニュース:
QualcommがインターコネクトIPのArterisの技術と開発チームを獲得※訂正あり


■半導体ファウンドリの先端プロセス

プロセスの微細化はこの1年間で約1世代進み、現在微細化競争の先頭を走るIntelが14nm FinFETプロセスを年明けQ1に量産開始予定。同プロセスは他社へのファウンドリ・サービスにも利用され、既にAlteraのARM搭載チップの製造などが計画されている。2番手TSMCは2014年早々に20nmプロセスの量産化に漕ぎ着ける予定で、既に同プロセスで生産されるXilinxの最新チップのサンプル出荷が開始されている。尚、TSMCは既に16nm FinFETプロセスのリスク生産も開始している。GlobalFoundriesはTSMCを追いかけ20nmプロセスの量産開始を急いでいる状況である。

28nmプロセスのファウンドリ・サービスに関しては、いち早く量産を開始したTSMCの独壇場であったが、今年後半からUMC、GlobalFoundriesが追い上げTSMCのシェアを奪う格好となっている。調査会社IC Insightsの調べによると専業ファウンドリの今年の28nm製品の市場規模は約81億ドルでうち78%はTSMCのシェアだという。

来年は専業ファウンドリの20nm量産化が一つの焦点となる予定で、業界首位のTSMCとUMCを抜いて業界2位となったGlobalFoundriesの競争激化が予想される。また半導体ファウンドリを取り巻くトピックとしては、Appleの次期A8プロセッサの製造が初めてTSMCに流れる予定で、Samsungは巻き返しを図るためにその先のA9プロセッサの受注に向けてGlobalFaoundriesと協力、既に受注契約を獲得したと言われている。A8プロセッサ以降はSamsung1社ではなくSamsung+TSMCの製造体制が続く見通しだ。

各社半導体ファウンドリの先端プロセスの状況は以下の通り。

2013Final-03.jpg

■世界半導体市場の動向

世界の半導体市場は、2012年の前年割れから反発し今年は年初の予想通り5%前後のプラス成長となる見通し。各社の集計方法により若干の誤差はあるが業界初の売上3000億ドル超えは確実視されている。

ちなみに現時点での1月-10月までの世界半導体売上累計は2530億ドルで、5月以降全ての月で売上単月記録を更新している状況。業界団体WSTSでは最終的に前年比4.4%の成長を達成すると予測している。

SIA2013-10-1.jpg
2013Final-04.jpg
※上記の表画像はWSTSのデータ


今年の半導体の売上を押し上げたのは、メモリ、ロジック、アナログで中でもメモリはDRAM価格の高騰、NANDフラッシュの活況などにより前年比18%以上の成長を遂げた。この結果は世界半導体売上 企業別ランキングにも現れており、Samsung、SK Hynix、Micronがランキングを上げた。(東芝は売上を伸ばすもランキングは変わらず)メモリ以外ではロジック分野のファブレスに勢いがあり、モバイル向けで荒稼ぎしているQualcommやMediaTekの急成長も目立った。

2013Final-05.jpg※上記ランク表はIC Insights公表の予測データ


また半導体市場を知る興味深い話として、今年は最終製品別に見た半導体売上の首位がPCから携帯電話に入れ替わったというレポートがあった。半導体売上の24%が携帯電話向けでPC向けは22%。2012-2017年の携帯向け半導体の平均売上成長率は約13%だという。(※IC Insightsのレポート

半導体日本市場の状況はと言うと、円ベースの売上では前年比4.3%増を達したが、円高に振れた為替レートの関係でドルベースでは前年比14.5%減という結果に終わった。WSTSでは来年以降も2014年、2015年と日本市場の半導体売上は成長を続けると予測している。

尚、現時点において各業界の調査機関の多くは、2014年の世界半導体市場は3-5%の成長と予測している。


■国内半導体産業の状況

エルピーダが倒れた2012年に続いて、今年も国内の半導体産業は大きな変革の年となった。

経営が逼迫していたルネサスエレクトロニクスは、産業革新機構を中心に1500億円の外部資金が注入され、事業売却、工場売却、人員整理といったリストラが進められた。モバイル事業はBroadcomに売却され、主力の鶴岡工場は閉鎖が決定され現在ソニーとの間で売却交渉が進められている。同社の社員数はこの1年間で約3分の2に減ったという。

富士通はマイコンおよびアナログ事業をSpansionに、RF事業をIntelに、GaNパワー半導体事業を米ベンチャー企業にそれぞれ売却。LSI事業に関しては、年初めから報じられているパナソニックとの事業統合を現在もまだ模索しており、TSMCなどを相手にした工場の売却交渉も進められている。

パナソニックは、国内半導体主力3工場を切り離し、イスラエルのTowerJazzと設立する合弁会社での運営を決定。今年度中に半導体部門の人員を半減し約7000人程度にする計画だと報じられた。

大きなニュースとしては上記のようなものがあったが、こと半導体関連のニュースに関しては、「統合」、「削減」、「売却」といったキーワードが目立ち、ようやく追い詰められた大企業が撤退戦の収束に動いたという印象だった。

そんな状況から以前にも増して世間では日本の半導体産業の終焉を憂う声が多いが、それは少し違う。東芝やソニーなどまだ半導体で利益を上げている大企業は存在しているし、半導体で食べている中小企業は数え切れないほどある。

一部の大企業の失敗で先端プロセス競争、汎用SoC競争からは脱落してしまったが、未だ国内には技術とエンジニア、そして半導体でビジネスするための恵まれた環境が残っている。傍観者達の作り上げた悲観的なムードはそろそろ忘れて、来年からは残った人と技術で見せましょう「日本半導体の底力」を。

今年も一年間ありがとうございました。
来年も引き続きEDA Expressをよろしくお願い致します。
 

ページの先頭へ