HOT TOPIX

 
SIEMENS
s2c
 

第一回:アナログ座談会 関西編

コメント(2)

リコーの室田様とある電話で話した内容がきっかけで、海外の設計や他社のアナログ設計者はどのように設計してるのか?を、勉強会として、アナログ設計者の交流会を通して、日本の半導体業界の元気に出来ればと思い立ち、去る2012年8月31日にシルバコ・ジャパン京都オフィスにてアナログの設計者を集まって頂き、現状の設計の問題点、今後どうすれば日本が海外に勝てるのか?情報交換でなく今後の日本の半導体の設計について熱い議論をしました。


第一回:アナログ座談会 関西編

日時:2012年8月31日
場所:シルバコ・ジャパン京都オフィス

出席者:
※会社名および実名の掲載に関しては承諾を得た方のみ記載しております。
※匿名参加の方はイニシャルで記載しております。

 エーアールテック 工学博士 益子様
 三栄ハイテックス(株) 理学博士 田村様
 株式会社リコー 室田様
 関東 M社 S様
 関西 R社 K様
 関西 M社 T様
    関西 P社 K様
 シルバコ・ジャパン 藤本様 堀様 神野様
 パイリサーチラボ合同会社* 柳

    *司会進行役

議題: 
? 現在、日本の抱えるアナログ/ミクスドシグナル設計の抱える問題
? 日本と海外の違い。(一部事例ご紹介)
? 日本と海外との使用EDAツールの違い。
? 日本と海外のアナログ設計能力と違い。
? 日本と海外の根本的な(思想、設計、手法)違いとは?
? 既存の設計フローの疑問点についての議論
*上記項目について、分け隔てなく題材として議論いたしました。

開催趣旨について: パイリサーチラボ合同会社  柳 ( 以下 PI 柳 略 )

近年、日本の半導体の没落の記事に始まり、事業再編、リストラと厳しい時代に突入してきています。報道記事では、そこから復活のシナリオが各種論じられておりますが、設計をしているのは現場のエンジニアであり、エンジニアが復活の鍵を握っています。半導体の設計環境やアナログ設計に焦点を合わせて、この20年間で何が変わって来たのか?またこれから何をしなくてはいけないのか?設計OB、現役設計者を交えて意見を交わしたいと思います。

この数年、ファブライトが叫ばれ、各社先端ファブの開発投資をやめ安く製造できる海外のファブに委託する手法が浸透してきました。しかし、実際はこの手法の落とし穴が存在しています。

analog-01.jpg


1. 同じAと言うファブで製造してもコストで勝てない。
2. 何故他社は安く出来るのか?

ここで、海外のファブを使う設計会社では何が行われているのか?ご紹介します。

ある米国の通信大手の会社の事例で、この会社はファブレスで有名なのですが、驚くべき手法でコスト削減に取り組んでいます。従来の日本のファブレス、ファブライトの考えはコストの安い海外ファブで製造するのが一つの手法でしたが、これにはいろいろな問題があります。
例えば、ファブからもらったPDKだけでは狙い通りの歩留まりが見積もれない、シミュレーションしても実際、出来上がりの精度が出てない等、国内の設計者会社が最も手を抜いて来たSimulationの精度向上の部分が表に出て来ています。
ここで、通信大手の会社を仮にA社としています。
A社では、メインのLSI製品はRF系のLSIをTSMCに委託して製造しています。
年間数量も数千万以上の生産量をほこり、この部分だけでも日本は同じ製品を設計製造してもコストでは勝てないはずですが、A社では、通常RFオプションのMixed-Signalのプロセスで製造する所をデジタルのプロセスで製造しているそうです。何故これが出来るのか?社内でPDKを作成しさらにSpiceのモデルLibraryも自社で作成しているそうです。

では、これを聞いて日本国内のメーカーが出来るか?残念ながらバブル崩壊後日本は、モデリングエンジニアを冷遇し、ひどい会社ではモデリングを外注作業としています。
その一方で、日本は、Spiceのシミュレーション精度向上と言う事と、モデルの標準化に力を注いでHiSIMモデルの標準化を達成しましたが、国内の惨状は、まずモデルを作成出来るエンジニアがいないという事実とあいまって、今ひとつぱっとしないのが現状です。
さらにSimulatorはマシーン速度の向上と新しいFast Spice等の登場で、設計者にとってボタンツールとなってしまい、実はまともにアナログ回路が設計出来るエンジニアがいないのも手伝ってSimulatorの吐き出す結果で、設計の可否が決まってしまいます。現在、多くの会社ではモデリング作業を外注に出し、モデルを作成している一方で、社内で提供されたPDKについて合わないと口を揃えて言う悲惨な現状があります。

analog-02.jpg
今後、日本の半導体の復活となる鍵を握るアナログ設計こそ、今後の日本に必要な技術と言われている中で、実際に行われている設計はEDAベンダーの提供するフローにのっているのが現状です。そういった、現状をふまえ、各社における設計の現場の声について聞き、情報の共有と少しでも参考になればと思います。



議題:ミクスドシグナル設計について

三栄ハイテックス 田村様

『受託設計をしている関係でお客様の要求に応じて設計する為、デジタルとアナログは分けて設計、最終的に合成。現在ミクスドシグナルの設計やtopダウンの設計は出来ていない。』


Q1:効率的な設計とは?

キーとなる設計はトップダウン設計。
モデル化してトップダウン設計がキーとなります。

リコー室田様:
デジタルとアナログのインターフェースの仕様をどういう仕様を受け、受託設計をされているのか?
analog-03.jpg

田村:
仕様がまちまちです。
仕様書にしっかり書いている場合も適当にやってくれとかいろいろある。

室田:
どういう仕様が設計しやすいのか?

田村:仕様がちがちの方が設計しやすい
ただ、なかなかそういうケースないですね。

室田:仕様を作ってこれでいいのか?というのは?

田村:ポイントポイントで確認して進める

室田:シミュレーションは?
田村:ある。設計の初期段階のシミュレーションはない

室田:テストベンチ
田村:1から作る事はなく流用が多い

シルバコ・ジャパン 藤本様:
経験上、アナログとデジタルの設計手法というより、部署間の交流、資産の継承がないのが問題アナログとデジタルと独自の文化を築いており、アナログの設計でデジタルの設計を持ち込む時、ツールも違ってきており、相互にノウハウを持ち込んだ設計が出来ない状況があり、企業としては大きな損失である。

一つの事例として、低消費電力のマイコンの開発で、メモリの技術があればより低消費電力が達成出来たかもしれないという事があった。部署間の垣根、交流がなかったのが昔の問題。
とりわけ大きな組織の問題。

M電機 S様:

analog-04.jpg
アナログとデジタルは別部署にて、最終設計で一緒にするということでトップダウン設計が出来ていません。AMSはまだ出来てなくて回路的にもR社様と競合するのですが、問題となっているのは全体をどうやって検証するのか?どうやってシミュレーションするのか?どこまでやればいいのか良いのかキリがない、とか問題が多い』

PI 柳:国内でAMSが出来ている会社はほとんどないです。...(雑談)

エーアルテック 益子様:

主に設計受託や教育をしており、設計環境は受託先の環境を使用しています。
また、研究委託等、予算がなければ、ノイズが気になる場合はTSMCを使うというのが一般的です。

PI 柳:
ノイズについて話が出たので、ここでTSMCのノイズモデルと国内のメーカー様が提供するモデルについて、意見を言わせてもらいます。
私自身、熱雑音を使った乱数生成器や、ランダムジッターの分離法、ノイズに関してはイメージセンサーのセンサーアンプのノイズ解析や、過渡ノイズSimulation機能の組み込み等してきたので、得意な分野でありますが、国内会社のノイズモデルをいろいろ見ましたが、酷い物で、TSMCの、ノイズモデルの厚いマニュアルとはほど遠い物があります。
また、ベンチャー様からの話で国内から提供されるSpice Modelはノイズモデルが合わないという声も多数聞いています。
少しでもModelの精度を上げたいならば、モデリングエンジニアの育成を忘れてはいけないと思います。

また、話をもどして、RICHOの室田さんからどうぞ。

リコー室田様:

analog-05.jpg
アナログリットとデジタルリッチで方法が異なる。デジタルリッチの場合はI/Fで苦労はない。
アナログの機能モデルをVerilog-Dで書く。全体のシミュレーションをする。
全体としてMixed-Signal Simulationはしてない。
機能モデルの妥当性検証(等価性検証)が曖昧をちゃんとしなくてはいけない。
動作のI/Fで、タイミングのI/F=リバティーライブラリーで使ってデジタルの検証を行っている。

アナログリッチな回路、やMixed-Signalでのケースについて:

初期の構成⇒トップダウンが良い
ある程度、上から下に降りて来て製品レベルになると、アナログ的実現できない物になると、仕様から外れると、元に戻れず仕様から大きく回路スペックが外れるとごりごりと合わせ込みになる。結局、仕様が変わって来ると作り直しになる場合がある。

ここで、トップダウン設計 ⇒ 回路がFixもしくは、IPベースでないと成立しない。

回路設計は、IPベースを念頭に作らないと流用出来ないし、トップダウン設計に持って行けない。
Verilog-Aのライブラリーを作っても、仕様変更すると結局作り直さないと行けない。
トップダウンの難しさ。最初にIPに持っていきやすいような形でいかないと難しい。
課題として、小さい回路を狙わないといけない。最適な回路を設計する事に注力すると汎用性がなくなりコストが上昇する。
流用品ではコストがかかる。

アジアや海外勢に対向する為には、回路/チップを一から作らなければいけない。
回路特性だけでなくブロックパーティショニングをしながら最適化をしないといけないのではないのか?
大規模アナログになると⇒Fast simulator/Spiceについて、いくらSimulationしてもきりがない。
しかし、一方で、SoCでSpiceでSimulationしている人はいない。しかしSOCで動いている?
これは、大規模アナログも、同じコンセプトで出来るのでは?

設計の高度、高機能化(例えば大電流)の場合、突入電流が多く、スロースタートをSimulationをしようとすると、Simulation時間が長くなる
PCの高速化やSimulatorの高速化でSimulationは早くなって来ているのだが、回路の高機能化で、Simulation時間が長くなる傾向になる

今後アナログ設計に(勝負)かけると、米国に勝てない。

今後、海外と対向するためには、いかにSpiceを流さずに回路設計をするか
そういう設計が求められているのでは?

PI 柳: Spiceについて

Fast Spiceについて...海外での設計者と話で、Fast Spiceを使った使用例はあまり聞かないです。
日本ではとりわけ、Fast Spiceの需要がかなりあるのは確かです。
これは、前述の話とかぶるのですが、多くのメーカーの設計マネージャークラスの人に話を聞くと、Simulation時間=コストと考えており、効率の良い設計手法や設計者の育成にお金と時間を割かず、より早いSpice Simulatorへの依存度がかなり高いです。

アナログ設計で設計する回路は、大きく変わらず、アナログ設計自体、何も変わってないのに設計環境だけが変わっている。それに流されているような気がします。
一部革新的な技術は存在しますが...

R社 K様
製品毎に部署があり、デジタルもアナログも同じ部署にいる。
部署間の交流はあまりない状況です。

PI 柳:教育について、設計教育とか会社でされていますか?

R社K:部署による。 
現在の設計での問題点は、製品の汎用性を意識しており、オプションがあり、高耐圧系のいろんな対応で素子やオプションが増えている。

一方で、競合となっている、台湾製品のコストが安い。半値ぐらい。 
そこでいろいろコストについて考え始めて、マスク枚数が違うとかプロセスの違いとか検討するようになりました。

益子:

analog-06.jpg
マイクロンのお話 80年後半の話で、当時マイクロンは、常に後発の製品を提供。
日本は先行開発で市場をリード一方マイクロンは、日本を見て、マスク、レイアウト、チップサイズ、製造装置を導入して値段で勝負。
先端を日本に任せて、装置をこなれた価格で買い、ミスを経験として安い製品を作ってDRAMのシェアを伸ばして来た。

一同(笑)

藤本:

教育が大切。日本とアメリカ、プロジェクトか関わる人の時間の使い方が違う。
日本とアメリカでは教育の比重が違う

日本はOJTがあるが、教育が薄っぺらく、例としてインテルとは大きく違う。

インテルでは、まずレイアウト(歩留まりに効く)を教える。

アナログ設計に関して:新人教育でされているのか?と疑問符が残る。
一方デジタル設計に対して:トランジスタの教育がされていないと思う。
だから、社内の失敗事例として、タイミング調整のバッファの無理な挿入があった。

昔の、社内でDRAMの設計部署では、レイアウト教育があった。

益子:

日本のDRAMの絶頂期は、きっちりと設計やレイアウト等の教育や伝授が出来ていた。

PI 柳:

当時、メモリの設計していた人は、バス配線の時には、ハレーションに気をつけるとかいろいろな教えがありました。バス配線の端の配線は、ハレーションにより、配線が細りやすいので
ダミー配線を入れるとか、アナログでも差動のレイアウトでは必須のテクニックです。
そういった、レイアウト教育はありましたね。

益子: ある意味、DFMですね

室田:

古い世代:初期から勉強していた。
今は、DRCさえ通れば良いと思っている。
しかしながらESDや様々の問題に対して、今の人達にそのような事を求めるのは無理がある。

藤本:

今の設計者の不幸について:
一人で出来なくなって来た。
大規模化で分業、製品知識が要らなくなってきた。
その中で、今の人達はリストラされたらどこかに行けるのか?
まず、どこにも行けないでしょう。

今の設計者で、全体を見られる人が居なくなった(全体を見られる人=generalist)
分業化された部署の中で、スペシャリストばかり育てて、generalistが居なくなった。
何故、generalistが育てられないのか?
アナログの人か、デジタルに人かも分かる人がいるのか?

室田:

それぞれの分野を守ろうとしている。
ただし、デジタルとアナログ設計者をスイッチして両方わかるエンジニアを育てようとしている。
デジタルと人にアナログを詰め込んでも無理があるのと、せめてAMSの知識があれば良いと思い、言語教育をしている。

PI 柳:海外のアナログ設計は、一人一製品ですが、そのような考えは何故日本でないのか?
リコー(室田さん)では、真似はされないのですか?

室田:デジタルが分からないとデジタルが設計が出来ないので

R社K:小さい製品は一人一製品

室田:

ロジックがでかくなるとロジックはロジックに任せる
同じチーム、RTL検証は専任。
作った人と検証する人で最低二人

藤本:

日本人は働き過ぎと言うが、海外の方がめちゃくちゃ働く。
優秀なエンジニアは起業を目指しており、働きながら大学で勉強をする。
海外のエンジニアは、アナログ、プロセス、デジタルも全てわかる。
めちゃくちゃ働くし、必死に勉強。

日本は、アナログはわからない、デジタルはわからないと言う。
分業されても、誰が責任持つのか?

昔は、一つのチップを作る喜びがあった。
昔、プロセスは装置産業 ⇒ 今、設計も装置産業になってきた。
今、使うツールに依存が大きくなった。
そういう意味で、アナログは、そうはいかない。これが日本の復活の鍵になるかもしれない

話もどりまして、R社のK様からどうぞ。

R社K:

analog-07.jpg
基本、製品を一人で設計するが、現在ミスが許せなくなり、またマスク代とか修正も困難になって来ています。昔は、サンプルが上がって不具合があれば直ぐに修正が出来た。設計者がGOと言えば製品が出来たが、今は失敗すると失敗の原因の究明と、何故失敗したかの原因の調査があり、必ずDRを通して製品の細かなチェックが必要となっており、むしろ分業の方が望ましいのではないか?

藤本:

分業化も良いのだけど、マニュアル化したら、日本で設計する必要がないのでは?
昔こういう事例が社内でありました。
動かないICがあった。設計者に尋ねると『DRC通りました』
『じゃ〜DRCを作った人が悪いのか?』
と言うと何も言えなかった。

もし、手順書どおりのやり方で設計するなら、日本でする必要はない。日本はどこで勝つのか?
まず、問題となるのが、シミュレーションの結果に対して予期する結果が出るのか判断出来る人がいない。今の設計者は、ほとんどの人がツールに使われている。
設計をトータルとして見ている人がいなくて、オペレータになっている。

今の、日本の半導体設計、とりわけ、SoCは潰れるべくして潰れた。  

室田さん: ふと疑問に思ったのですが、派遣社員について海外ではどうなのですか?
日本では、引退した人が派遣会社を組織してレイアウトが出来ますとか言ってどんどん派遣の採用が多くなっている現状がある。


柳:基本、派遣というものはない。
藤本:

アメリカでは、レイアウトならレイアウトのスペシャリストがおりプロジェクト毎の契約で仕事している人がいる。前述のプロジェクト毎にトレーニング(勉強会?)があり、仕事する事に、レベルがあがるようになっている。一種の専任&スペシャリストなので作業が早いのでビジネスが成立している。


R社K:台湾&韓国との違いについて、アナログ設計者はアナログ設計者でレイアウトはしない。
    テストエンジニアはテストエンジニアで独立している。
テストプエンジニアがテストプログラムを作成、アナログエンジニアが一緒に作成。

PI 柳:続いて話が脱線しましたが、M社のT様どうぞ。

M社T様:(RF)GaAs/7年前入社当時、ADSを使い, DRC/LVSは目で見てしていたが失敗が多かった。設計する回路は、RFのLNA/SW/HPA。
現在は、AWRのMicrowave Officeを使い、Simulation/DRC/LVSが出来てミスが減りました。ただ、PAの特性がSimulationと実機と合わない。

PI 柳:モデルが悪いのでは?
基本Simulatorと実測の相違はモデルで発生しています。

M社T:自己発熱が実測とSimulationで合わない。

PI 柳:合わない自己発熱は、特性をモデリングする必要がある。
自己発熱の測定は難しく、負荷により特性が変わります。
実測の測定の条件とSimulationの条件をしっかり合わせて解析する必要があります。   

M社T:一人一製品の問題点として技術は身に付くし、ある欠陥は本人では直ぐにわかるが、
一方で、一人だと欠点に気づきにくい

analog-08.jpg
シルバコ・ジャパン堀様:ある統計によると、日本の設計者が設計している時間は2割で、海外は8割ぐらい?あるそうです。
益子:三菱電機で、ある担当者がプロジェクトで子会社に派遣されて、戻って来た時、感想を聞くと幸せでしたと言っていた。理由として設計にのみ注力すれば良かったから    
PI 柳:お待たせしました。K様どうぞ。

P社K様:電磁界の専門に仕事をしていましたが、AV機器事業のボードに近い所になります。
弊社でも分業で、セットの設計とLSIの設計がオーバーラップする所があるが、特に問題となるのがアナログの部分で電源、クロックやI/Fが問題になると駆け引きがある。
LSIの設計の方は要望がないと動かない。全体を見れる人がないのが問題。
特に、社内は分業化の徹底化されています。

PI 柳:分業は上手くいっているのですか?

一応、分業を補間する意味で、IPとかで必要で、そういうので回っている部分もある。
設計する前段階で基準を作り、チェッカーをかけるようになっている。
コストも含まれている中で、危険度という項目がある、そこは経験に寄る部分が多い。

P社K:
analog-09.jpg
分野が違うけども、モデリングが重要。
アンテナやモーターアクチュエーター等モデルを作っていかにツールを使いこなすが重要。
電磁界Simulatorを使っているのですが、AMSも同じではないかと思います。
PI 柳:設計の根本に違いはないと思います。


PI 柳:一通り回った所で、何か質問か意見があれば、発言してください。

R社K:
最近、前述のように、製品が台湾と競合しているのですが、製品コストで勝てない。
コスト分析しても、明らかにテストしてないのではないか?

藤本:
海外製品にはAランクBランクとランク分けされた製品があり、またブランドも別ブランドが存在する。

PI 柳:今競合となる台湾(中国、韓国)と日本では品質に対する考えが大きく違います。
台湾では、IP購入時に品質保証なしで購買する事も多く、IPのコストから全てが日本に比べて安いです。また韓国の大手メーカーでさえテストしてない製品を次々と出荷している現状もあります。彼らの品質保証は、不良=交換であり、日本の不良=0という考えがありません。

益子:
海外と同じ様にブランドを分けて国内メーカーも製品を出せばよいのではないか?

これ以外にもいろいろ大切な意見交換が行われましたが、さすがに文字にすると莫大になりますので、発言の要約および割愛をさせてもらっています。貴重な意見を発言されておりました各出席者の方々に、この場を借りてお詫びをいたします。



■最後に     

当初、3時間を予定していました、座談会(勉強会)ですが、4時間を超えても白熱した議論がされていました。公開が約一年近く遅くなりましたが、これをきっかけに国内アナログ設計者が様々な情報共有や、良い設計の方向性を見つけて頂けると幸甚です。
私見ですが、今の設計者はある意味恵まれているけど不幸だと思います。
高速なHWや豊富なソフトウエアがあり、十分設計出来る環境があるのにさせてもらえない。
これを乗り越えるには、やはり基礎となる教育、基礎知識ではないでしょうか?
しっかりした理論があれば、どんな難解な事も乗り越えられると思います。
私が会社に入社した時、アナログ=経験だといろんな方がおっしゃっていましたが、アナログを経験で解決している限り、設計に未来はありません。

 アメリカのベンチャーで成功する人達の多くは大学から来ています。それらの人は、経験は多くはないはずですが、ではなぜ成功出来るのか?日本の論理で言えば、経験豊富な年寄りしかベンチャーで成功しないはずです。
何故海外で若いエンジニアが成功するのか?簡単な理由として、彼らには少ない経験でも確かな基礎学力と知識が備わっているからです。少ない経験でも、基礎学力と知識があれば、経験の理由付けでいろいろな仮想の経験を作り出せます。(これを実現するのがSimulation)、なので少ない経験からいろいろと設計の基準を作る事が出来ます。
日本では、経験は多いけど設計に関して、大学や会社で体系づけた教育というものがありません。これは書物を見れば明らかで海外のアナログ設計の書籍は圧倒的ですが、国内は数えるほどしかありません。
これからのアナログ設計者を目指す人に、しっかり勉強してツールを使いこなせばそんなにアナログ設計に対して敷居を高くないことがわかります。

日本の半導体業界全体が復活する事を祈って
  2013年 5月 記


最後に、場所を提供して頂きました、シルバコ・ジャパン京都オフィスの藤本様にこの場を借りて御礼を申し上げます。

関東での開催も計画しておりますが、場所の確保もありますので、時間がかかっております。
もしご意見があれば、yanagi@pi-rlabo.comまでメールいただけると幸甚です。 

About PI research LABO, LLC:
PI research LABO, LLCでは、様々なSimulation技術を駆使して以下の4つのサービスを提供しています。
1. Spice/Verilog-AMS/PI ,SI 高速伝送等の技術講座、 
2. Analog/Mixed-Signal設計コンサルティング、Spiceの精度検証および、
3. Spice/Verilog-AMS/VHDL-AMS/その他言語のモデリングです。
4. EDAツール開発

その他、EDAビジネスとしてEDAソフトウエア販売として
Dolphin Integration SMASHの販売、Infiniscale社、AgO社のツールの取り扱いをしております。

技術関連の問い合わせ: technical@pi-rlabo.com
営業的な問い合わせ:  sales@pi-rlabo.com

コメント(2)

元ファンドリー企業でモデリングをしていた者です。
はっきり言って、日本はSPICEモデル”活用”後進国です。
こう言うと語弊がありますが、モデリング技術は素晴らしいエンジニアの方、企業はあります。

ただ問題なのは、モデル式 - 物理現象 - 回路の振舞(どうあるべきか)の繋がりについて知識、経験がまだ足りない若い現役エンジニアの方を多く見受けます。

これから、日本の半導体産業の再構築(復活でなく)にはいかに使用するSPICEモデルの”人となりをわかる”、エンジニアの育成がキーポイントに1つだと確信しています。

モデリング技術についてですが、日本にモデリング技術者なんてそもそもいないのではないですか?
でないと、国内にこんだけモデリングと名が付くビジネスがないのも珍しいです。

シミュレーションにはお金をかけるが、モデルは作らない、お金かけない。これが今の日本だと思います。

素晴らしいエンジニア人々がいるのは確かです。
しかし企業が飼い殺ししてる状態。
結局残ったのは、バブルの元凶を作った人々だけですよね。おまけに、日本の場合大学に転身したエンジニアの方やベンチャーに行かれた人ほとんどがそういう人ではないですか?
日経の紙面をにぎわすも、設計本の類いの書物もろくに出さず(後世に残さず)ひたすら論文とお金を目指す。

結局は、バブル前は失敗が許され、沢山の失敗をした人が残ってるような気がします。
昔の半導体は手探り状態でしたからね。

長くなりましたが、これは私個人の意見です。

 

ページの先頭へ