NEWS

 
SIEMENS
s2c
 

Synopsysが新型の論理合成ツール「Design Compiler NXT」の提供を開始、処理速度2倍、結果品質10%向上

2019年3月13日、Synopsysは新型の論理合成ツール「Design Compiler NXT」の提供開始を発表した。

プレスリリース

Synopsysによると「Design Compiler NXT」は、新しい最適化技術の利用により実行スピードならびに消費電力とタイミングの結果品質が大幅に向上。同ツールを先行して利用していた早期適用企業での実績として実行スピードが2倍、結果品質が10%向上したケースもあるという。

今回新たに以下のような最適化技術が「Design Compiler NXT」に搭載された。

・パワードリブンのマッピングならびに論理構築テクニック
・クロック/データ同時最適化
・結果品質を犠牲にすることの無い分散合成処理

なお、「Design Compiler NXT」は、IC Compiler II との間でライブラリや配置テクノロジの他に、RC 抽出、ネット・トポロジー、密度モデリングといったテクノロジを共有しており、5nm以降の最先端プロセス・ノードでも両ツール間の設計結果で緊密な相関性と結果品質を実現できるという。

日本シノプシス合同会社

= EDA EXPRESS 菰田 浩 =

(2019/03/20 )

 

ページの先頭へ