NEWS一覧

 
SIEMENS
s2c
 
2018-05-23 半導体ベンダの設備投資額は今年始めて1000億ドルを突破する見通し
2018-05-22 MicronとIntelが業界初のQLC 3D NANDの量産出荷を開始-ダイあたり1テラビットの高密度
2018-05-22 Dolphin IntegrationがRISC-V Foundationに加盟
2018-05-22 Latticeがエッジ向けのAI組み込みFPGAキット「Lattice sensAI」を発表
2018-05-21 数千個のRISC-Vプロセッサを用いた7nmAIチップを開発する米Esperanto Technologies
2018-05-21 マイニング・チップの中国CanaanがAIチップでArterisのインターコネクトIPを採用
2018-05-21 QualcommがWi-Fiセキュリティの新規格「WPA3」をサポート
2018-05-21 MicrosemiがCrossbarのReRAMのライセンシーに
2018-05-19 SynopsysのCNNエンジン搭載プロセッサ「EV6x」が業界初のASIL D対応に
2018-05-19 SilvacoがArmの認定デザイン・パートナーに
2018-05-19 自動運転向け画像処理チップのMobileyeが大型契約を獲得、欧州メーカー800万台に自動運転技術を供給
2018-05-18 仮想通貨マイニング・チップの中国Bitmain、規制を受けて収益源をAIチップにシフト
2018-05-18 NANDより1000倍高速で高密度、IntelのOptaneメモリはSSDに取って代わるかもしれない
2018-05-18 EEMBCがエッジ向けAIプロセッサのベンチマークを開始する計画
2018-05-18 IntelがArria10 FPGAを統合したIntel Xeonスケーラブル・プロセッサーの量産品を提供開始
2018-05-17 Socionextが専用HWと汎用CPUによるハイブリッドコーデックの新製品「M820L」を発売
2018-05-17 インターコネクトIPのNetSpeedと各種設計IPのNorthwestが車載およびデータセンター向けに協業
2018-05-17 GLOBALFOUNDRIESがSynopsysの「IC Validator」を14LPPプロセスのフィジカル・サインオフツールとして認定
2018-05-16 韓国電力公社KEPCOがメーターシステム変革プロジェクトでArmのソリューションを採用
2018-05-16 Arasanが業界初となるMIPI I3C仕様準拠の設計IPをリリース
2018-05-16 MIPI AllianceがMIPI DSI-2 v1.1およびMIPI DCS v1.4仕様をリリース
2018-05-16 好調維持の半導体業界。2018Q1売上実績は上位15社中13社が前年比2ケタ増
2018-05-15 Aldecが高機能シミュレータ「Riviera-PRO」にUVMレジスタジェネレータ等の追加機能を実装
2018-05-15 富士通が組合せ最適化問題を高速に解く 「デジタルアニーラ クラウドサービス」を提供開始
2018-05-15 NECと産総研がAIとシミュレーションを融合しまれな不具合を効率的に発見する技術を開発
2018-05-15 Cadenceが7nm DDR5 IPのプロトタイプに成功、データ転送レート4400Mbpsを達成
2018-05-15 2018年Q1の世界シリコン・ウエハ出荷量は前年比7.9%増で過去最高
2018-05-14 低消費電力のIoT向けスマートセンシングプロセッサ搭載ボード「SPRESENSE™」を発売
2018-05-14 DMPがCyber AI Labホームページを開設
2018-05-14 GPUメーカーの「エヌビディア」が好決算を発表!ザイ・オンライン
 

ページの先頭へ